Chap 1

essay A

Conception & Modélisation des CI numériques par le langage VHDL Vhsic Hardware Description Language Vhsic . Very High Speed Integrated Circuit Langage de description de systèmes matériels ENSAB – GTR 2 Pr. Rachid El Alami rachid. elalami@usmba. ac. ma Objectifs Introduire les concep flot de conception de 2. OF de, Présentation des éléments de langage VHDL 3. Machine à état fini (FSM) 4. VHDL & Synthèse 5. Implémentation FPGA ferroviaire Cartes à puce ( et RFID) Les CI numériques remplacent plusieurs circuits analogiques û Audio recording: from tape to music CD to MP3 Player

Image processing : from silver-halide film to digital camera Avantages des systèmes numériques Reproduction de finformation Flexibilité et fonctionnalité : stockage facile, transmission et manipulation de l’information. R. EL ALAMI 5 Introduction 1. 2. Motivations Réduire le temps de prototypage –> time-to-market Réduire le coût de production 20F 13 changement de langage à chaque étape de conception 9 ntroduction 1*3. Introduction à la modélisation hardware VHDL • ne vise pas une exécution, la simulation la synthèse la spécification la documentation 10 il est utilisé pour : 1. 3.

Introduction à la modélisation hardware la brique de base est le co 3 3 entité . spécification 13 VHDL. û Langage moderne, puissant, général jeu d’instructions complet et très riche fort typage des données compilation séparée des entités LI Développement parallèle facilité : D travail d’équipe découpage en unités de conception développement et validation parallèle nécessite de bien identifier les interfaces entre les blocs fonctionnels û Simulation du système : LI à tous les niveaux : 4 3 modules (primitives ou fonctions plus complexes) caractérisés, c’est à-dire dont les onctions et les performances sont connues.

Une réalisation possible est alors construite par assemblage ? l’aide d’un processus de sélection de modules. Le processus est tel qu’il doit garantir que les choix entrepris satisfont les contraintes imposées par le cahier des charges. Les méthodes montantes sont bien adaptées à la réalisation de circuits dont la structure est essentielle à leur bon fonctionnement 18 1. 5. 1 . Démarches de conception 1. 5. 1. 1. Démarche ascendante La réalisation de circuits analo ues est régie par la méthode ascendante. 3 données

Possibilités d’étudier des systèmes plus complexes 20 Avantages Utilisation optimale des fonctions existantes Utilisation de cette démarche lorsque le niveau est proche de celui du silicium Inconvénient Démarche indispensable mais insuffisante lorsque le système ? concevoir devient complexe (quelques millions de transistors) Lorsque la différence entre le niveau de silicium et le niveau système croit: 6 3 Cette démarche est adaptée pour le développement de nouveaux systèmes sur les 3 niveaux : Niveau système Niveau transfert de registres Description fonctionnelle du système

Vues externes comportements Fonctions et traitements à réaliser Niveau logique Prise en compte de contraintes complémentaires Contraintes de temps Fiabilité Testabilité 23 donné, on travail avec la bibliothèque de travail : Le choix de cette bibliothèque fait en dehors du langage VHDL par une commande liée au système de développement elles peuvent être compilées séparément si la compilation est correcte alors les unités sont placées dans la bibliothèque de travail Il est possible d’utiliser d’autres bibliothèques qui sont alors des bibliothèques de ressources

Par défaut, les bibliothèques WORK et STD sont inclues . elles permettent la manipulation des « objets » standards 27 1. 5. 2. Flot de conception La recherche de modèle vérifiant un ensemble de spécifications réalisée séquentiellement dans une hiérarchie d’abstractions : Dans un premier temps, le concepteur traduit la description très haut niveau (généralement for ge naturel) du circuit en 8 3 phase de placement-routage pour obtenir le modèle physique du composant.

La deuxième étape de conception consiste à fabriquer le circuit. Comme le process de fabrication peut introduire des différences entre e fonctionnement du circuit réel et son modèle, le concepteur doit vérifier pendant cette dernière étape, appelée étape de caractérisation, que le circuit vérifie bien les spécifications. 9 30 (surface, vitesse, puissance) et calculée à partir de la précaractérisation des cellules. 33 1. 5. 2. 3. Vérification A chaque niveau (RTL porte, Layout) le circuit doit être vérifié : Réalise-t-il la bonne fonction? Fonctionne-t-il à la bonne vitesse? Deux type de vérification: Dynamique : créer un prototype logiciel du circuit et le simuler, Statique : û Niveau fonctionnel : pro fonctionnalité du circuit 0 13